奥鹏作业答案 - 分忧网!奥鹏作业,奥鹏在线作业,奥鹏作业答案及毕业论文分忧

奥鹏作业答案,离线作业,奥鹏作业分忧网

当前位置: 主页 > 奥鹏作业答案 >

公司进行股票分割后会使得()

时间:2018-01-04 13:18来源:未知 作者:admin 点击:
2.公司进行股票分割后会使得() A. 每股市价上升; B. 每股市价不变; C. 每股市价降低; D. 股东权益减少; E. 股东权益总额保持不变 正确答案:CE满分:4分 3.代理成本模型认为股票筹资的代理成本由()组成。 A. 机会成本; B. 剩余损失; C. 担保成本;

12春电子科大《EDA技术》在线作业二
《EDA技术》在线作业二
试卷总分:100       测试时间:--
单选题 
7.  若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a <=b ; c := a ; b <= c ; end process; end rtl ;
A. 1,2
B. 2,1
C. 1,1
D. 2, 2
      满分:5  分
8.  EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A. 512x8,1024x4,2048x2,4096x1
B. 256x8,512x4,1024x2,2048x1
C. 256x4,512x2,1024x1
D. 256x16,512x8,1024x4,2048x2
      满分:5  分
9.  一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
      满分:5  分
10.  不符合进程语句启动条件的是
A. if语句
B. wait语句
C. 敏感信号量
D. wait语句或敏感信号量
      满分:5  分
11.  常用的硬件描述语言有
A. VHDL、Verilog、c语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
      满分:5  分
12.  国际上生产FPGA/CPLD的三家主流公司为
A. Altera、Xilinx、Lattice公司
B. Altera、Marax、Lattice公司
C. IBM、Xilinx、Lattice公司
D. Altera、Xilinx、AD公司
      满分:5  分
13.  值为“1110”的标准逻辑矢量,进行sll运算后值为____________ 。
A. 1100
B. 1010
C. 1110
D. 0111
      满分:5  分
14.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
      满分:5  分
15.  下列关于信号的说法正确的是
A. 信号是一个局部量,它只能在进程和子程序中使用。
B. 信号的赋值不是立即发生的。
C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D. 信号赋值的一般表达式为:目标信号名:= 表达式。
      满分:5  分

一、单选题(共 20 道试题,共 100 分。)V 1.  字符串型文字X“3B”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5  分
2.  在VHDL中,用语句_____表示clock的下降沿
A. clock’EVENT
B. clock’EVENT  AND  clock=’1’
C. clock=’0’
D. clock’EVENT  AND  clock=’0’
      满分:5  分
3.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,那么A(2 downto 0)=____________。
A. 111
B. 110
C. 100
D. 101
      满分:5  分
4.  下面哪种语句不是并行语句
A. wait语句
B. process语句
C. 块语句
D. 生成语句
      满分:5  分
5.  请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A. :=
B. <=
C. ==
D. =
      满分:5  分
6.  下列关于CASE语句的说法不正确的是
A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B. CASE语句中必须要有WHEN OTHERS=>NULL;语句。
C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。
      满分:5  分
16.  VHDL的描述风格有
A. 行为描述、数据流描述和结构描述
B. 行为描述、门级描述和数据流描述
C. 数据流描述、结构描述和版图描述
D. 门级描述、结构描述和版图描述
      满分:5  分
17.  值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A. 1100
B. 1101
C. 1110
D. 1000
      满分:5  分
18.  库(LIBRARY)包括哪几大类
A. IEEE 库、STD 库、面向ASIC的库、用户定义库
B. IEEE 库、STD 库、WORK库、用户定义库
C. IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库
D. STD 库、WORK库、面向ASIC的库、用户定义库
      满分:5  分
19.  MAX+PLUSII中VHDL文件名必须和______名相同。
A. 项目、结构体
B. 实体、结构体
C. 项目、实体
D. 结构体
      满分:5  分
20.  重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
      满分:5  分


 

(责任编辑:admin)要这答案加QQ:800020900 或加微信:q800020900 获取
顶一下
(0)
0%
踩一下
(0)
0%
------分隔线----------------------------
发表评论
请自觉遵守互联网相关的政策法规,严禁发布色情、暴力、反动的言论。
评价:
表情:
用户名: 验证码:点击我更换图片
栏目列表
推荐内容